首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
目的研究基于LabVIEW与MATLAB混合编程的方法实现高精度QT间期实时自动化检测。方法在LabVIEW中调用MATLAB Script节点解决复杂的数字计算操作。首先,设计了一套基于LabVIEW的心电信号采集系统。然后,采用模板匹配法求解相关系数,实现实时QRS波顶点位置的获取。最后,使用T波分类与多算法结合的方法实现高精度QT间期实时检测。结果成功实现了RR间期和QT间期实时自动化检测,相关性分析实验结果表明QTa与QTm间具有线性相关性,且相关度均达到0.9以上。结论笔者从两个方面考虑,一方面,通过硬件与软件相结合提高心电信号的信噪比。另一方面,使用T波分类与多算法结合实现T波终点准确计算。实验结果表明,高精度QT间期实时自动化检测具有现实可行性。  相似文献   

2.
目的 研究基于Labview与Matlab混合编程的方法实现高精度QT间期实时自动化检测。方法 在LabVIEW中调用MATLAB Script Node节点解决复杂的数字计算操作。首先,设计了一套基于LabVIEW的心电信号采集系统。然后,采用模板匹配法求解相关系数,实现实时QRS波顶点位置的获取。最后,使用T波分类与多算法结合的方法实现高精度QT间期实时检测。结果 成功实现了RR间期和QT间期实时自动化检测,相关性分析实验结果表明QTa与QTm间具有线性相关性,且相关度均达到0.9以上。结论 笔者从两个方面考虑,一方面,通过硬件与软件相结合提高心电信号的信噪比。另一方面,使用T波分类与多算法结合实现T波终点准确计算。实验结果表明,高精度QT间期实时自动化检测具有现实可行性。  相似文献   

3.
心电信号特征参数的提取和识别是心电图分析和诊断的基础。在心电信号的分析中,QRS波群快速准确的检测非常重要,它是相关参数计算和诊断的前提。本文对心电信号进行复值小波分解后,利用分解结果的模值来检测QRS波。由于心电信号的形态和幅值因人而异,所以用自学习算法来调整阈值以适应信号的变化。用MIT-BIH心电数据库中的数据对以上方法进行验证,QRS波群的检测率高达99.81%以上。最后,在检测出QRS波群特征点的基础上,利用相类似的方法检测出P、T波。  相似文献   

4.
本研究通过选取QT数据库中20例共3 569个心拍的心电数据,对三种不单纯依赖阈值的T波终点检测算法(小波法、累积积分面积法、梯形面积法)的检测性能进行对比分析,评估出最适宜于临床检测的T波终点检测算法。首先,基于小波变换的多尺度分析方法定位QRS波群及T波;然后,将T波区分为四种形态(正向、反向、双向:+-/-+),分别采用三种算法用于T波终点的检测;最后,文中提出一种基于T波形态的自适应选择T波终点检测算法,并对其进行实验验证。结果表明:该自适应选择方法相比单一的T波终点检测算法,有着更好的检测性能,其灵敏度、阳性预测度和时间差分别为98.93%、99.11%和(-2.33±19.70)ms。因此,根据T波形态自适应选择T波终点的检测算法有助于提高T波终点的检测效率。  相似文献   

5.
提出一种T波检测和QT间期提取新策略,应用QRS波群起始点和终末点检测算法,检测到QRS波群的起始点和终末点;从QRS波群的终末点出发,向后求出16点线段参数的LS估计;根据LS估计确定窗口,在窗口内检测出T波的峰谷值位置,从而检出T波;从峰谷值位置向后根据LS估计确定R点和R回归直线,根据心电数据和R回归直线在R点前的偏离程度确定T波终末点,从而提取QT问期.应用具有广泛认可度的MIT-BIH数据库中QT数据库的所有具有T波终末点专家标记的数据文件来验证算法,在专家标记终末点的3 542个T波上获得98.2%的检出率,提取QT间期获得1.0 ms的平均误差,提取QT间期的准确率为97.2%.  相似文献   

6.
心电的T波交替现象与室性心律失常有关,已成为预测心脏猝死的一个无创的临床指标.当前T波交替分析常用谱分析方法,为弥补其不具备时间分辨率的不足,本研究提出一种鲁棒的基于时频分析的微伏级T波交替检测算法:通过心电信号的短时傅里叶变换,提取时域T波序列,计算其在选定时频区域的能量谱;然后运用Wilcoxon秩和检验统计分析方法,检测微伏级T波交替现象.经仿真实验、欧洲ST-T数据及临床检测实验,本算法对T波交替检测的平均灵敏性达90.4%,正确预测率达92.0%,在30 dB及以上信噪比情况下,实现了100%的正确检测.仿真实验还表明本算法也支持短时心电数据的TWA准确检测.  相似文献   

7.
基于小波变换的QRS波群实时检测算法   总被引:1,自引:1,他引:1  
本文研究了基于小波变换方法的心电信号QRS波群检测算法,通过对心电信号进行低通滤波、小波变换、差分平滑、阈值检测和修正策略等技术,提高了QRS波群的检测率.经MIT-BIH心律失常心电数据库全部48例数据的检验,QRS波检测灵敏度达99.82%,真阳性率达99.52%.在Windows环境下可实时实现.  相似文献   

8.
田福英 《中国医学物理学杂志》2012,29(3):3413-3415,3433
目的:设计并实现一种适用于便携式心电监护系统的心电波形实时动态检测和分析的方法。方法 :作者首先应用5点平滑滤波消除信号的高频噪声和50 Hz干扰,然后通过对分段心电信号的长度变换来增强R波,并用长度阈值检测到R波位置,再通过去错检和查漏检算法提高R波检测准确率;正确检测到R波后,利用区域极值和斜率突变特点从R波开始向前、向后搜索找出Q、S波,然后从已开始的Q、S波位置再分别向前向后找到Q波起点和S波终点;最后根据已检测到的QRS波群计算了心率和ST段参数。结果:通过对包含各种噪声的心电信号的分析证明该算法能准确地检测到QRS波群,不受基线漂移和高频噪声的影响;算法用C语言实现后在嵌入式心电监护系统中的应用也表明其处理速度完全满足移动设备的实时动态分析要求。结论:本文设计的心电波形识别方法算法简单、速度快、抗干扰能力强、准确率高,并成功应用于基于32位嵌入式系统的心电监护仪。相信能给便携式心电监护设备研发中心电信号自动检测和分析功能的实现带来一些启发。  相似文献   

9.
心电信号分析中识别R波的一种算法   总被引:1,自引:0,他引:1  
作者报告一种在心电信号分析中识别R波的算法,介绍了算法的思路、主要特点和实验结果。算法较多地考虑了异常形态R波上各种畸形和切迹对识别的影响,以求在保证识别正常形态R波的同时,较准确地识别异常形态的R波。算法适合用于长时间连续记录心电信号的计算机分析。  相似文献   

10.
基于几何算法的T波终点检测研究   总被引:1,自引:0,他引:1  
目的:用一种精确有效的方法实现T波终点检测。方法:对心电信号进行小波变换,定位R波后,通过准确地定位T波的检测区间,避免心率和P波的影响,在确定的区间上根据模极值检测出所有T波的顶点,用一种简单实用的几何方法检测出T波的终点。将本文的算法用于QT数据库中,评价实验结果。结果:本文的算法标注能力为(-0.35225±18.5869)ms,达到甚至超过了QT数据库里专家手工标注的水平。结论:文中采用T波终点检测的方法在存在噪声和基线漂移以及T波形态多变的情况下鲁棒性都比较好,并且计算简单,易于实现。  相似文献   

11.
针对心电信号中的室性早搏心拍检测问题,使用经验小波变换(EWT)实现心电信号的自适应分解。根据心电信号时频能量变化特征,提出了一种低复杂度的频域累积能量特征计算方法,并分析了室性早搏与正常心电信号的特征差异性。最后利用反向传播神经网络在MIT-BIH心电数据库上进行心拍样本训练与识别测试。结果表明基于EWT的特征提取避免了传统时域特征提取中的QRS波群检测过程,降低了其它干扰因素对诊断结果的影响,具有较高的分类精度与良好的鲁棒性,总体敏感度与总体阳性检测率分别达到96.55%和97.73%。  相似文献   

12.
传统的心电疲劳分类方法虽然能有效地识别疲劳状态,但需要采集较长时间的信号,不能达到疲劳状态的实时监测。本文设计一种深层卷积神经网络模型用于评估操作员疲劳状态,对操作员的短时心电信号进行疲劳状态的自动分类。首先,提出一种将心电信号转化为图像的方法,将采集到的心电信号转化成二维图像,即将心电信号直接映射到二维空间转换成时域图片信息。然后,将图片送入深层卷积神经网络模型中去训练,实现对操作员疲劳状态的分类。本文方法降低了模型的复杂性,减少了模型的参数,同时训练的数据不需要经过类似噪声滤波、特征提取等任何预处理步骤。结果表明该模型能自动从心电信号中提取有效特征,实现对操作员非疲劳和疲劳两种状态的正确分类,分类准确率达到97.36%。  相似文献   

13.
膝关节摆动(VAG)信号是指膝关节屈曲或伸展时发出的声音或振动信号,可灵敏、客观地描述膝关节的健康状态,在膝关节疾病的无创检测中具有重要作用.现有的对VAG信号正常和异常分类方法自动化程度低,且分类准确度较低,总体性能有待进一步提升.因此,提出一种基于改进卷积神经循环网络(PCNN-LSTM)的VAG信号分类算法.首先...  相似文献   

14.
T波交替是预测恶性心律失常和心脏猝死危险性的一个无创的临床指标。针对目前T波交替(TWA)量化分析方法的不足,提出基于时频分析和相关分析相结合的T波交替联合分析算法:通过对预处理过的心电信号的连续小波变换,计算T波序列在指定时频窗内的能量谱,并对其分段统一编秩,再运用秩和检验方法定性判断T波交替;在此基础上,对T波交替的信号段进行T波的时域相关分析,确定交替的频次和程度,提取时域量化指标,为猝死和失常分析提供更丰富的时频特征信息。仿真实验表明,该算法对TWA检测的平均灵敏性达91.2%,在30 dB及以上信噪比的情况下,TWA被100%正确检测。临床数据检测结果表明,本算法对TWA幅值检测结果和临床应用的谱方法检测结果的相关系数达0.96。  相似文献   

15.
为提高医生筛查先天性心脏病的效率,设计一款基于卷积神经网络的先天性心脏病筛查系统。系统以软硬协同的方式实现心音、心电等生理参数的实时同步采集以及可视化和定量化分析。系统包含上下位机,下位机以FPGA为核心实现心音心电数据采集以及小波阈值去噪等预处理,上位机在Windows系统环境下以Python编程语言实现二阶谱特征提取、卷积神经网络二分类识别以及用户界面可视化显示。最终,系统对200名志愿者进行测试,准确率达到94.5%,特异度为95.9%,敏感度为93.2%。结果表明系统具有良好的表现,可以为临床先心病筛查提供有效的辅助。  相似文献   

16.
梁楠    赵政辉    周依  武博    李长波  于鑫  马思伟  张楠   《中国医学物理学杂志》2020,37(12):1513-1519
目的:提出一种基于滑动块的深度卷积神经网络局部分类、整图乳腺肿块分割的算法,为临床诊断提供有效的肿块形态特征。方法:首先通过区域生长算法和膨胀算法提取患者乳腺区域,并进行数据归一化操作。为了得到每一个像素位置上的诊断信息,在图像的对应位置中滑动提取肿块类及非肿块类图像块,根据卷积神经网络提取其中的纹理信息并对图像块进行分类。通过整合图像块的预测分类结果,进行由粗到细的肿块分割,获得乳腺整图中像素级别的肿块分割。结果:通过比较先进的深度卷积神经网络模型,本文算法滑动块分类结果DenseNet模型下准确率达到96.71%,乳腺X线摄影图像全图肿块分割结果F1-score最优为83.49%。结论:本算法可以分割出乳腺X线摄影图像中的肿块,为后续的乳腺病灶诊断提供可靠的基础。  相似文献   

17.
病理切片中肾小球自动分类是诊断肾脏病变程度和病变类型的关键。为解决肾小球分类问题,设计了一个基于卷积神经网络的完整肾小球分类框架,选用SE-Resnet作为图像分类模型,将原有模块中卷积层改为参数量更小的卷积块,在保证网络性能的前提下减少网络参数。实验结果表明,相比于其他分类算法,该算法表现最优,在肾小球系膜细胞增生、肾小球新月体形成、肾小球局灶性节段性硬化、正常肾小球的分类任务中达到了96.93%的准确率,说明该分类算法能够较好地对肾小球病变进行识别。  相似文献   

18.
The aim of the study is to investigate the potential of a feedforward neural network for detecting wavelet preprocessed late potentials. The terminal parts of a simulated QRS complex are processed with a continuous wavelet transform, which leads to a time-frequency represenation of the QRS complex. Then, diagnostic feature vectors are obtained by subdividing the representations into several regions and by processing the sum of the decomposition coefficients belonging to each region. The neural network is trained with these feature vectors. Simulated ECGs with varying signalto-noise ratios are used to train and test the classifier. Results show that correct classification ranges from 79% (high-level noise) to 99% (no noise). The study shows the potential of neural networks for the classification of late potentials that have been preprocessed by a wavelet transform. However, clinical use of this method still requires further investigation.  相似文献   

19.
本研究提出一种新的心律失常自动分类方法,辅助医生诊治心律失常。通过构建卷积神经网络对心电信号以及QRS波群的小波分量进行特征提取,将网络提取到的心电信号特征和小波特征与人工提取的RR间期特征,输入到全连接层进行融合,在输出层使用softmax函数对心拍进行分类。使用MIT-BIH心律失常数据库中的MILL导联数据对网络进行训练和测试。经测试,该方法的总体分类准确度达98.12%,平均灵敏度为87.32%,平均阳性预测值为90.37%。该方法能够快速识别不同类型的心律失常,对于计算机辅助诊断心律失常的应用具有一定的参考价值。  相似文献   

20.
Abstract

The use of wearable recorders for long-term monitoring of physiological parameters has increased in the last few years. The ambulatory electrocardiogram (A-ECG) signals of five healthy subjects with four body movements or physical activities (PA)—left arm up down, right arm up down, waist twisting and walking—have been recorded using a wearable ECG recorder. The classification of these four PAs has been performed using neuro-fuzzy classifier (NFC) and support vector machines (SVM). The PA classification is based on the distinct, time-frequency features of the extracted motion artifacts contained in recorded A-ECG signals. The motion artifacts in A-ECG signals have been separated first by the discrete wavelet transform (DWT) and the time–frequency features of these motion artifacts have then been extracted using the Gabor transform. The Gabor energy feature vectors have been fed to the NFC and SVM classifiers. Both the classifiers have achieved a PA classification accuracy of over 95% for all subjects.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号